CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 2

搜索资源列表

  1. (2,1,3)卷积码编解码

    4下载:
  2. (2,1,3)卷积码编解码,viterbi译码
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-28
    • 文件大小:3343838
    • 提供者:zhaohua5269
  1. verilog LDPC encoder

    5下载:
  2. 码长1536 扩展因子64的 WIMAX的LDPC 编码器,支持5/6,2/3,3/4,3个码率,需要在顶层做参数修改
  3. 所属分类:交通/航空行业

    • 发布日期:2012-03-19
    • 文件大小:225376
    • 提供者:mariojiang
  1. verilog HDL任务与函数代码

    1下载:
  2. 1、 了解verilog HDL任务与函数的定义及格式 2、 掌握函数与任务的定义与调用 3、 掌握函数与任务在使用时的区别 4、 掌握任务与函数的具体应用
  3. 所属分类:源码下载

  1. my_232

    0下载:
  2. verilog 232串口收发程序 在开发板上测试成功过-verilog 232 serial port transceiver program already had some success in the development of on-board test ^ ^
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:599962
    • 提供者:guoyishi
  1. X-HDL3.2.52

    1下载:
  2. vhdl和Verilog HDL相互转换的软件,很难找的一款-vhdl and Verilog HDL mutual conversion software, very difficult to find a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3963592
    • 提供者:kenshin
  1. ovm-2[1].0

    0下载:
  2. This OVM 2.0 source code .Very useful for developing system verilog Env-This is OVM 2.0 source code .Very useful for developing system verilog Env
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:1726169
    • 提供者:tipparaj
  1. Verilog--shiyanbaogao

    0下载:
  2. 有实验结果,用MOSIN6编写的,是Verilog HDL语言实现的. 练习三 利用条件语句实现计数分频时序电路 实验目的: 1. 掌握条件语句在简单时序模块设计中的使用; 2. 学习在Verilog模块中应用计数器; 3. 学习测试模块的编写、综合和不同层次的仿真。 练习四 阻塞赋值与非阻塞赋值的区别 实验目的: 1. 通过实验,掌握阻塞赋值与非阻塞赋值的概念和区别; 2. 了解阻塞赋值与非阻塞赋值的不同使用场合; 3. 学习测试模块的编写、综合和不同层
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:15937
    • 提供者:盼盼
  1. Verilog_PS2_RS232

    0下载:
  2. 实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上,并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。 -The realization of PS/2 interface with RS-232 data interface, PS/2 keyboard to press the button, through RS-232 automatic transmissi
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1608047
    • 提供者:chalin tong
  1. systemc-2.2.0

    0下载:
  2. system C源码 一种替代verilog的语言
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-11
    • 文件大小:2481008
    • 提供者:fighter
  1. xhdl3.2.55_windows

    1下载:
  2. Verilog与VHDL互相转化的最新版本的软件望对大家有用-Verilog and VHDL to transform the latest version of the software useful for all of us look
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3965642
    • 提供者:高天天
  1. RD1011_rev01.2

    0下载:
  2. 采用VHDL实现的UART硬件模块,该模块包括了modem的硬件实现,已经仿真测试代码,顶层模块可以采用VHDL或verilog实现,便于嵌入到自己的设计之中。文档中附有详细的使用说明和注释。-Achieved using VHDL hardware UART module, the module includes the hardware modem has simulation test code modules can be used top-level VHDL or verilog t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:217592
    • 提供者:pd
  1. ovm-2.0.2

    0下载:
  2. OVM(Open Verification Methdology) for system verilog or systemC
  3. 所属分类:Other systems

    • 发布日期:2017-05-12
    • 文件大小:3027939
    • 提供者:ASURA
  1. 2

    0下载:
  2. 介绍一种软件实现分频器和32位计数器,采用可编程逻辑芯片,运用verilog语言设计出一种分频器和32位计数器 -Introduce a software implementation of divider and 32-bit counter, using programmable logic chips, using verilog language to design a divider and 32-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:158779
    • 提供者:xxx
  1. 2

    0下载:
  2. RFID系统的IEEE的文章,安全协议,认证- In this paper, we first propose a cryptographic authentication protocol which meets the privacy protection for tag bearers, and then a digital Codec for RFID tag is designed based on the protocol. The protocol w
  3. 所属分类:RFID

    • 发布日期:2017-04-07
    • 文件大小:233086
    • 提供者:fxy
  1. verilog

    0下载:
  2. verilog code for a microwave controller with clock output, clock time setting input, power control input+output, cooking timer setup, door open light, cooking complete buzzer output. Four push buttons provide following active low input signal
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:17307
    • 提供者:ddr
  1. verilog

    0下载:
  2. source code for USB 2.0 fonction core in verilog
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:57787
    • 提供者:chaitanya
  1. verilog

    0下载:
  2. 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27825626
    • 提供者:lyy
  1. verilog

    0下载:
  2. 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27830787
    • 提供者:lyy
  1. Verilog-Design

    0下载:
  2. 包括三个文档: 1.基于Altera Quartus II 的模块化设计应用 2.基于Xilinx ISE的的模块化设计示例 3.模块化设计方法的设计流程-Consists of three documents: 1. Based on Altera Quartus II modular design applications 2. Xilinx ISE based on the modular design of Example 3. Modular Design for desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:252562
    • 提供者:Joseph
  1. source-(2)

    0下载:
  2. 32k-point FFT verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:29696
    • 提供者:Jake
« 12 3 4 5 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com